Extreme ultraviolet lithography

Extreme ultraviolet lithography (EUVL, also known simply as EUV) is a technology used in the semiconductor industry for manufacturing integrated circuits (ICs).

It is a type of photolithography that uses 13.5 nm extreme ultraviolet (EUV) light from a laser-pulsed tin (Sn) plasma to create intricate patterns on semiconductor substrates.

The EUV wavelengths that are used in EUVL are near 13.5 nanometers (nm), using a laser-pulsed tin (Sn) droplet plasma to produce a pattern by using a reflective photomask to expose a substrate covered by photoresist.

[citation needed] In 1991, scientists at Bell Labs published a paper demonstrating the possibility of using a wavelength of 13.8 nm for the so-called soft X-ray projection lithography.

The results of this successful effort were disseminated via a public/private partnership Cooperative R&D Agreement (CRADA) with the invention and rights wholly owned by the US government, but licensed and distributed under approval by DOE and Congress.

[4] Intel, Canon, and Nikon (leaders in the field at the time), as well as the Dutch company ASML and Silicon Valley Group (SVG) all sought licensing.

[6] By 2018, ASML succeeded in deploying the intellectual property from the EUV-LLC after several decades of developmental research, with incorporation of European-funded EUCLIDES (Extreme UV Concept Lithography Development System) and long-standing partner German optics manufacturer ZEISS and synchrotron light source supplier Oxford Instruments.

The scanner uses Zeiss optics, which that company calls "the most precise mirrors in the world", produced by locating imperfections and then knocking off individual molecules with techniques such as ion beam figuring.

[8] This made the once small company ASML the world leader in the production of scanners and monopolist in this cutting-edge technology and resulted in a record turnover of 27.4 billion euros in 2021, dwarfing their competitors Canon and Nikon, who were denied IP access.

Because it is such a key technology for development in many fields, the United States licenser pressured Dutch authorities to not sell these machines to China.

Along with multiple patterning, EUV has paved the way for higher transistor densities, allowing the production of higher-performance processors.

This significant growth reflects the rising demand for miniaturized electronics in various sectors, including smartphones, artificial intelligence, and high-performance computing.

The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously.

Generally, the image shift is balanced out due to illumination source points being paired (each on opposite sides of the optical axis).

[89][90] Since the pupil imbalance changes with EUV collector mirror aging or contamination, such placement errors may not be stable over time.

[101] The rotating plane of incidence (azimuthal range within −25° to 25°) is confirmed in the SHARP actinic review microscope at CXRO which mimics the optics for EUV projection lithography systems.

[105] More generally, so-called "ring-field" systems reduce aberrations by relying on the rotational symmetry of an arc-shaped field derived from an off-axis annulus.

For pitches requiring dipole, quadrupole, or hexapole illumination, the rotation also causes mismatch with the same pattern layout at a different slit position, i.e., edge vs. center.

[115] On 0.33 NA systems, 30 nm pitch and lower already suffer sufficient reduction of pupil fill to significantly affect throughput.

[99] Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC.

[118] Aberrations, originating from deviations of optical surfaces from subatomic (<0.1 nm) specifications[119] as well as thermal deformations[120][121] and possibly including polarized reflectance effects,[122] are also dependent on slit position,[123][121] as will be further discussed below, with regard to source-mask optimization (SMO).

Though the EUV spectrum is not completely monochromatic, nor even as spectrally pure as DUV laser sources, the working wavelength has generally been taken to be 13.5 nm.

Hydrogen also reacts with metal-containing compounds to reduce them to metal,[209] and diffuses through the silicon[210] and molybdenum[211] in the multilayer, eventually causing blistering.

[245] Heating of the EUV mask pellicle (film temperature up to 750 K for 80 W incident power) is a significant concern, due to the resulting deformation and transmission decrease.

The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution.

For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.

[281] Even with higher absorption at the same dose, EUV has a larger shot noise concern than the ArF (193 nm) wavelength, mainly because it is applied to thinner resists.

[302][303] While Samsung introduced its own 7 nm process with EUV single-patterning,[304] it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput.

[321] Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting.

[328] For sub-2nm nodes, high-NA EUV systems will be affected by a host of issues: throughput, new masks, polarization, thinner resists, and secondary electron blur and randomness.

Image formation mechanism in EUV lithography.
EUV multilayer of silicon -based glass spacer and molybdenum reflectors
Absorber
EUV radiation
Resist
Substrate
Secondary electrons
EUV multilayer and absorber constituting mask pattern for imaging a line. EUV radiation reflected from the mask pattern is absorbed in the resist and substrate, producing photoelectrons and secondary electrons. These electrons increase the extent of chemical reactions in the resist. A secondary-electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary-electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.
EUV throughput as a function of dose. The wafer throughput of an EUV tool is actually a function of exposure dose, for a fixed source power.
EUV H-V difference of focus. Horizontal (H) and vertical (V) mask (reticle) pattern features are focused differently in EUV optical systems. The numerical aperture (NA) also makes a difference.
2-bar CD difference vs. focus. The difference between the widths of two adjacent horizontal lines varies as a function of focus.
Due to different phase shifts from reflection from the EUV mask, different illumination angles result in different shifts. This results in reduced image contrast , also known as fading.
Illumination rotation across ring-field slit. Light reflected from curved optical surfaces will generate arc segments. [ 93 ] The illumination angles are rotated azimuthally across the arc-shaped slit (right), due to the reflection of an arc-shaped image from each pupil position as a point source (left). [ 94 ] [ 95 ] The angle-dependent and wavelength-dependent multilayer reflectance distribution pattern is rotated accordingly.
Horizontal and vertical lines exhibit different shadowing across the slit.
Image shift due to defocus depends on wavelength. The angular dependence of multilayer reflectance of the object (mask) is different for different wavelengths, leading to different shifts when defocused.
Assist feature OPC. Assist features help improve the image of isolated features (blue) to be more like dense features (gray). However, the more effective they are, the greater the risk that the assist feature will print (orange).
Pitch effect on SMO. SMO carried out targeted for one pitch may have varying performance for other pitches.
Impact of different wavelengths. Different wavelengths effectively have different pupils, resulting in different results of source-mask optimization.
Pupil rotation across slit forces use of much lower pupil fill (within the trapezoids or rectangles) for dipole illumination.
Phase profile of attenuated phase shift mask for EUV. The phase profile (red) for an attenuated phase shift mask used with a partially transmitting EUV absorber does not match the ideal profile design (dotted), due to oblique incidence illumination and absorber edge scattering.
Electrons from layers under the resist can affect the profile and onset of collapse.
Outgassing contamination vs. EUV dose: The increase of dose to size (E size ) to reduce shot noise and roughness comes at price of increased contamination from outgassing . The contamination thickness shown here is relative to a reference resist.
Hydrogen-induced blistering defects. Atomic hydrogen (red dots) used for cleaning surfaces can penetrate underneath the surface. In the Mo/Si multilayers, H 2 (paired red dots) is formed and trapped, resulting in blister (white region) formation.
Electron charging from the EUV-induced plasma occurs even outside the EUV exposure area (purple borders)
EUV defect printability vs. pitch. The printability (here 10% CD) of a defect of a given height and width varies with pitch. Note that even the surface roughness on the multilayer here can have noticeable impact.
Shot noise causing significant CD variations
Stochastic defects tend to occur where there is stochastic absorbed dose variation near the threshold dose. These are indicated by where the blue specks tend to collect.
At 38 nm center-to-center spacing or less, a 0.33 NA EUV tool would require double- or even triple-patterning for the contact or via layer.
Sidelobes are a greater risk with stochastic variations, at a certain center-to-center distance. This risk is elevated due to the central obscuration in High-NA EUV systems.