Multiple patterning (or multi-patterning) is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density.
[2] Even for electron-beam lithography, single exposure appears insufficient at ~10 nm half-pitch, hence requiring double patterning.
[6][7] Pitch double-patterning was pioneered by Gurtej Singh Sandhu of Micron Technology during the 2000s, leading to the development of 30-nm class NAND flash memory.
The most obvious case requiring multiple patterning is when the feature pitch is below the resolution limit of the optical projection system.
[10] It is well-established that dense two-dimensional patterns, which are formed from the interference of two or three beams along one direction, as in quadrupole or QUASAR illumination, are subject to significant rounding, particularly at bends and corners.
The point spread function likewise limits the resolvable distance between the centers of the line tips (modeled as circles).
These deviations are insufficient to completely offset the constructive or destructive interference of the underlying regular line pattern; sidelobes often result.
[38] In fact, in the absence of a separate cut exposure, the gap between the ends of the minimum pitch lines will be prohibitively large.
If the line pitch is already near the resolution limit, the cut pattern itself may have imaging difficulty, from reduced dose or focus window.
JSR has demonstrated 32 nm lines and spaces using this method,[51] where the freezing is accomplished by surface hardening of the first resist layer.
In recent years, the scope of the term 'pitch splitting' has gradually been expanded to include techniques involving sidewall spacers.
It makes use of the intersection of an enlarged feature resist mask and underlying trenches which are surrounded by a pre-patterned hardmask layer.
SAQP has advantages in two-dimensional 28 nm pitch routing (followed by two selective etch cut/trim steps), compared to EUV, due to the illumination limitations of the latter.
[67] The number of masks used for sidewall spacer patterning may be reduced with the use of directed self-assembly (DSA) due to the provision of gridded cuts all at once within a printed area, which can then be selected with a final exposure.
The main drawback of this technique is the relatively limited range of feature sizes and duty cycles for a given process formulation.
[74] A higher value of χ is preferred for reduced roughness; the interfacial width between domains is equal to 2a(6χ)−1/2, where a is the statistical polymer chain length.
[76] At IWAPS 2024, Fudan University showed large-area, defect-free arrays using a quadruple-hole patterning technique based on DSA, which potentially significantly reduces the number of masks used in multipatterning.
[77][78] There have been numerous concerns that multiple patterning diminishes or even reverses the node-to-node cost reduction expected with Moore's Law.
On the other hand, the conventional SID SADP flow may be extended quite naturally to triple patterning, with the second mask dividing the gap into two features.
[84] Tilted ion implantation was proposed in 2016 by the University of Berkeley as an alternative method of achieving the same result as spacer patterning.
[98][101] Stochastic defects, including edge placement error, also entail double (or higher) patterning for contacts/vias with center-to-center distance of 40 nm or less.
Current EUV throughput is still more than 3x slower than 193 nm immersion lithography, thus allowing the latter to be extended by multiple patterning.
Even with the introduction of EUV technology in some cases, multiple patterning has continued to be implemented in the majority of layers being produced.
Normalized WPM = WPM/(WPM for EUV 1 pass) Multiple patterning with immersion scanners can be expected to have higher wafer productivity than EUV, even with as many as 4 passes per layer, due to faster wafer exposure throughput (WPH), a larger number of tools being available, and higher uptime.
[131] For line patterning, SADP/SAQP could have the advantage over the EUV exposure, due to cost and maturity of the former approach and stochastic missing or bridging feature issues of the latter.
Grid location selection has an advantage over direct point cutting because the latter is sensitive to overlay and stochastic edge placement errors, which may distort the line ends.
[156] Multiple exposures may be used for the periphery metal routing of DRAM, but this is also unnecessary, as a triple spacer approach offers 1/5 pitch reduction.
When minimum pitch is reduced to 32 nm or less, stochastic defects are prevalent enough [160] to reconsider double patterning at larger design widths.
At pitches of ~30 nm or less, the illumination is also restricted to extremely low pupil fills below 20%,[161][162] which causes a significant portion of the EUV source power to be unused.
Hence, multiple patterning for EUV at wider design rules is presently a practical consideration for both yield and throughput reasons.
Different techniques for multiple patterning
Top:
Splitting of features into groups (3 shown here), each patterned by a different mask
Center:
Use of a spacer to generate additional separate features in the gaps
Bottom:
Use of an opposite polarity feature to cut (small break) pre-existing features
Stochastic defects limit EUV resolution.
Stochastic defects are more serious for tighter pitches; at 36 nm pitch defect rate does not drop below ~1e-9. Contact patterns have severe defectivity at larger dimensions.
Two-dimensional pattern rounding.
Two-dimensional dense patterns formed from few interfering beams are always severely rounded.
Different features require different illuminations.
Different features in the same layout (as indicated by different colors) could require different illuminations, and hence, different exposures. While horizontal and vertical lines may be addressed with a common quadrupole illumination (blue), 45-degree orientations would suffer, as they require an entirely different quadrupole illumination (red). Consequently, to include all these cases would require separate exposures.
Array-specific illuminations.
Different array configurations require different and mutually exclusive illuminations. To accommodate all of these would require different exposures with the different illuminations.
OPC hotspot.
Insufficient space (red region) for assist features to support 2x minimum metal pitch (MMP) in the presence of 1x MMP is prohibited.
Patterns with incompatible illuminations.
Illuminations tailored for certain parts of a multi-pitch pattern may degrade other aspects. Here, the blue locations benefit the minimum line pitch, while the red locations benefit the line breaks but not the minimum line pitch.
Misalignment of cut shapes can lead to electrical issues such as arcing and contact resistance variations.
Double Expose, Double Etch (trenches):
Photoresist coating over first pattern; etching adjacent to previous features; Photoresist removal
Double patterning by pitch splitting.
Double patterning by pitch splitting involves assigning adjacent features to two different masks, indicated by the different colors. It remains the simplest multiple patterning approach practiced today, and adds less cost than EUV.
Sometimes, it is necessary to "stitch" two separately printed features into a single feature.
Spacer mask:
first pattern; deposition; spacer formation by etching; first pattern removal; etching with spacer mask; final pattern
Self-aligned via dual-damascene patterning.
Spacer-is-dielectric (SID) SADP based on two successive depositions as well as at least two etches.
SID SADP has 3x the resolution with allowing the extra mask for line cutting.
A honeycomb pattern allows tripling of density for patterning of DRAM layers.
SAQP based on two successive SADP steps
Compared to SADP, SAQP uses another spacer, enabling further self-aligned processing that allows further pitch reduction, along with the opportunity for flexible patterning.
DSA recombines split vias.
Two vias which normally would need separate exposures (red and blue) can be patterned together with DSA assistance using a single guiding pattern exposure (black border).
SATP offers less overlay sensitivity.
SATP achieves the same pattern as SID SADP but with less overlay sensitivity for the cut/trim mask.
Tilted ion implantation.
Ion damage regions act as sidewall-aligned regions to be etched. A fundamental aspect of this approach is the correlation between damage width and damage pitch; both widen at the same time for fixed ion mask height and ion beam angle.
SADP with self-aligned blocking/cutting.
Self-aligned blocking or cutting allows lines to be divided into two colors, due to the use of two different materials of different etch selectivity. Only lines of one color may be cut by a given mask exposure.
SAQP flow for self-aligned blocking/cutting
Self-Aligned Quadruple Patterning (SAQP) flow can accommodate self-aligned blocking or cutting.
EUV layout splitting due to different illuminations.
This layout consists of vertical and horizontal lines requiring two different illuminations optimized for each, since the horizontal layout includes wider lines and spaces. Consequently, the layout needs to be split, even for EUV lithography. Furthermore, additional cut exposures are preferred for the gaps between line tips (circled).
Non-ideal multipatterning:
Here a layout is divided into three parts which are each difficult to image. Each part contains features of different sizes and different spacings, as well as different orientations, inheriting the problems of the original layout.
Color-optimized multi-patterning.
Ideally, the three differently colored sets of features are spread as evenly as possible, and follow a consistent pitch.
Four masks for dividing minimum pitch by 3.
To pattern at one-third the minimum line pitch, 4 masks (each represented here by a different color) may be used. This method is also known as "LELELELE" (4x the litho-etch (LE) iteration). Alternatively, SADP may be applied with fewer masks.
Diagonal cuts forbidden.
Diagonal line cuts are forbidden, due to their "kissing corner" distorted appearance. Their use is discouraged even with EUV single patterning.
Gridded cut selection.
Selection of orange line cut areas by intersection of cut lines (blue) with diagonals limiting length, and a final selection mask.
SALELE (Self-aligned Litho-Etch-Litho-Etch.
Plan view of SALELE process steps, taken together.
DUV vs. EUV multipatterning.
Below 40 nm pitch, EUV is expected to require multipatterning due to the necessity of maintaining sufficiently small distance between line ends, without destroying portions of lines in between. The number of masks required can match that of DUV, such as for this target pattern.
28 nm pitch multipatterning.
At ~30 nm pitch, both DUV and EUV approaches require multiple patterning.